用你熟悉的设计方式设计一个可预置初值的7进制循环

14 查阅
用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

参考答案:

正确答案: